Technical Library: bump (Page 1 of 3)

Vacuum Fluxless Reflow Technology for Fine Pitch First Level Interconnect Bumping Applications

Technical Library | 2023-01-17 17:58:36.0

Heterogeneous integration has become an important performance enabler as high-performance computing (HPC) demands continue to rise. The focus to enable heterogeneous integration scaling is to push interconnect density limit with increased bandwidth and improved power efficiency. Many different advanced packaging architectures have been deployed to increase I/O wire / area density for higher data bandwidth requirements, and to enable more effective die disaggregation. Embedded Multi-die Interconnect Bridge (EMIB) technology is an advanced, cost-effective approach to in-package high density interconnect of heterogeneous chips, providing high density I/O, and controlled electrical interconnect paths between multiple dice in a package. In emerging architectures, it is required to scale down the EMIB die bump pitch in order to further increase the die-to-die (D2D) communication bandwidth. Aa a result, bump pitch scaling poses significant challenges in the plated solder bump reflow process, e.g., bump height / coplanarity control, solder wicking control, and bump void control. It's crucial to ensure a high-quality solder bump reflow process to meet the final product reliability requirements. In this paper, a combined formic acid based fluxless and vacuum assisted reflow process is developed for fine pitch plated solder bumping application. A high-volume production (HVM) ready tool has been developed for this process.

Heller Industries Inc.

Flip Chip Attach Techniques

Technical Library | 2019-05-21 17:38:55.0

Last month we presented Flip Chip Rework.As promised, this month we follow up with attachment techniques. Flip chip assembly is a key technology for advanced packaging of microelectronic circuits. It allows attachment of a bare chip to a packaging substrate in a face-down configuration, with electrical connections between the chip and substrate via conducting “bumps.” Flip chip technology was first invented by IBM for mainframe computer application in the early 1960s. Semiconductor devices are mounted face down and electrically and mechanically connected to a substrate (Figure 1). IBM called this manufacturing process a C4 process (controlled collapse chip connection).

ACI Technologies, Inc.

Flip Chip Rework

Technical Library | 2019-05-21 17:34:08.0

Flip chip components have been gaining popularity in the electronics industry since their introduction in the 1960s. Advances in attach methods and adhesives, as well as the drive for smaller and faster electronic devices made the technology take off. The basic premise of the flip chip is that the chip (semiconductor device) is mounted flipped from the traditional position. The traditional method of mounting a die is to mount it on a lead frame with the circuit and bond pads face up. The bond pads then receive a bond wire which then connects to the proper lead on the lead frame. Flip chips are mounted face down onto a substrate using small bumps on the bond pads to make direct electrical connection to their respective pads on the substrate. Stay tuned for more information on attachment techniques next month. This article will focus on how to rework flip chips.

ACI Technologies, Inc.

Low Force Placement Solution For Delicate and Low IO Flip Chip Assemblies

Technical Library | 2007-06-27 15:43:06.0

Traditionally most flip chips were designed with large bumps on a coarse pitch. However, as the trend towards smaller, more compact assemblies continues the sizes of semiconductor packages are forced to stay in line. New designs are incorporating smaller bump diameters on increasingly aggressive pitches, and in many cases decreasing the total IO count. With fewer and smaller bumps to distribute the load of the placement force it is becoming increasingly vital for equipment manufacturers to meet the challenge in offering low force placement solutions. One such solution will be presented in the following discussion. Also presented will be ways to minimize the initial impact spike that flip chips experience upon placement.

Universal Instruments Corporation

No-Clean Flux Residue and Underfill Compatibility Effects on Electrical Reliability

Technical Library | 2013-04-11 15:43:17.0

With the explosion of growth in handheld electronics devices, manufacturers have been forced to look for ways to reinforce their assemblies against the inevitable bumps and drops that their products experience in the field. One method of reinforcement has been the utilization of underfills to "glue" certain SMDs to the PCB. Bumped SMDs attached to the PCB with a no-clean soldering process offer the unavoidable scenario of the underfill coming in contact with a flux residue. This may or may not create a reliability issue... First published in the 2012 IPC APEX EXPO technical conference proceedings

Indium Corporation

Status and Outlooks of Flip Chip Technology

Technical Library | 2018-11-14 21:43:14.0

Status of flip chip technology such as wafer bumping, package substrate, flip chip assembly, and underfill will be reviewed in this study. Emphasis is placed on the latest developments of these areas in the past few years. Their future trends will also be recommended. Finally, the competition on flip chip technology will be briefly mentioned.

ASM Pacific Technology

Fine Pitch Cu Pillar with Bond on Lead (BOL) Assembly Challenges for High Performance Flip Chip Package

Technical Library | 2018-01-17 22:47:02.0

Fine pitch copper (Cu) Pillar bump has been growing adoption in high performance and low-cost flip chip packages. Higher input/output (I/O) density and very fine pitch requirements are driving very small feature sizes such as small bump on a narrow pad or bond-on-lead (BOL) interconnection, while higher performance requirements are driving increased current densities, thus assembling such packages using a standard mass reflow (MR) process and maintaining its performance is a real and serious challenge. (...) In this study a comprehensive finding on the assembly challenges, package design, and reliability data will be published. Originally published in the SMTA International 2016

STATS ChipPAC Inc

Lead-Free Solder Wafer Bumping

Technical Library | 2007-12-06 11:37:15.0

Over the past 30 years we have learned that lead has negative affects on the health of humans and seen strong legislation remove it from gasoline and paints. More recently, governments in Europe and Asia have set deadlines to remove lead from consumer electronic devices that use printed circuit boards. Currently, the ban is not being applied to high reliability applications such as military or medical devices, but we all know that will come someday soon. Likewise many believe that lead free solder is coming to wafer bump reflow and are beginning to make the transition.

BTU International

Packaging Technology and Design Challenge for Fine Pitch Micro-Bump Cu-Pillar and BOT (Direct Bond on Substrate-Trace) Using TCNCP

Technical Library | 2015-12-02 18:32:50.0

(Thermal Compression with Non-Conductive Paste Underfill) Method.The companies writing this paper have jointly developed Copper (Cu) Pillar micro-bump and TCNCP(Thermal Compression with Non-Conductive Paste) technology over the last two+ years. The Cu Pillar micro-bump and TCNCP is one of the platform technologies, which is essentially required for 2.5D/3D chip stacking as well as cost effective SFF (small form factor) package enablement.Although the baseline packaging process methodology for a normal pad pitch (i.e. inline 50μm) within smaller chip size (i.e. 100 mm2) has been established and are in use for HVM production, there are several challenges to be addressed for further development for commercialization of finer bump pitch with larger die (i.e. ≤50μm tri-tier bond pad with the die larger than 400mm2).This paper will address the key challenges of each field, such as the Cu trace design on a substrate for robust micro-joint reliability, TCNCP technology, and substrate technology (i.e. structure, surface finish). Technical recommendations based on the lessons learned from a series of process experimentation will be provided, as well. Finally, this technology has been used for the successful launching of the company FPGA products with SFF packaging technology.

Altera Corporation

High Reliability and High Throughput Ball Bumping Process Solution – Solder Joint Encapsulant Adhesives

Technical Library | 2018-04-05 10:40:43.0

The miniaturization of microchips is always driving force for revolution and innovation in the electronic industry. When the pitch of bumps is getting smaller and smaller the ball size has to be gradually reduced. However, the reliability of smaller ball size is getting weaker and weaker, so some traditional methods such as capillary underfilling, corner bonding and edge bonding process have been being implemented in board level assembly process to enhance drop and thermal cycling performance. These traditional processes have been increasingly considered to be bottleneck for further miniaturization because the completion of these processes demands more space. So the interest of eliminating these processes has been increased. To meet this demand, YINCAE has developed solder joint encapsulant adhesives for ball bumping applications to enhance solder joint strength resulting in improving drop and thermal cycling performance to eliminate underfilling, edge bonding or corner bonding process in the board level assembly process. In this paper we will discuss the ball bumping process, the reliability such as strength of solder joints, drop test performance and thermal cycling performance.

YINCAE Advanced Materials, LLC.

  1 2 3 Next

bump searches for Companies, Equipment, Machines, Suppliers & Information

Sell Used SMT & Test Equipment

High Precision Fluid Dispensers
Selective soldering solutions with Jade soldering machine

High Throughput Reflow Oven
Software for SMT

World's Best Reflow Oven Customizable for Unique Applications
Electronics Equipment Consignment

High Resolution Fast Speed Industrial Cameras.