Defect-Based Test: A Key Enabler for Successful Migration to structural test

Published:

May 6, 1999

Author:

Sanjay Sengupta, Sandip Kundu, Sreejit Chakravart, Praveen Parvathal, Rajesh Galivanche, George Kosonocky, Mike Rodgers, TM Mak; MPG Test Technology, Intel Corp.

Abstract:

ntelĂ­s traditional microprocessor test methodology, based on manually generated functional tests that are applied at speed using functional testers, is facing serious challenges due to the rising cost of manual test generation and the increasing cost of high-speed testers. If current trends continue, the cost of testing a device could exceed the cost of manufacturing it. We therefore need to rely more on automatic test pattern generation (ATPG) and low-cost structural testers....

  • Download Defect-Based Test: A Key Enabler for Successful Migration to structural test article
  • To read this article you need to have Adobe PDF installed

You must be a registered user to talk back to us.

 

Company Information:

Intel designs and builds the essential technologies that serve as the foundation for the world's computing devices.

Santa Clara, California, USA

Manufacturer

  • Phone (408) 765-8080

See Company Website »

Company Postings:

(32) technical library articles

(5) news releases

  • Aug 20, 2024 - Thermal Interface Materials Drive Electronic Innovation | GPD Global
  • Aug 20, 2024 - Underfill Materials Dispensing in Electronics Manufacturing Applications | GPD Global
  • Jul 15, 2024 - Transforming LED Manufacturing: I.C.T Engineers Set Up Complete Production Line in Tajikistan | I.C.T ( Dongguan ICT Technology Co., Ltd. )
  • Jun 20, 2024 - Case study: Precise Coating on Electronic Hearing Devices | ASYMTEK Products | Nordson Electronics Solutions
  • Mar 19, 2024 - What is Underfill | GPD Global
  • Browse Technical Library »

Defect-Based Test: A Key Enabler for Successful Migration to structural test article has been viewed 541 times

SMT feeders

IPC Training & Certification - Blackfox